В последнее время программное обеспечение САПР имеет устойчивую тенденцию к развитию. В России об этом свидетельствуют увеличение числа дистрибьюторов зарубежных фирм, наличие ярких отечественных разработок ПО, выигрывающих за счет более узкой специализации, и появление с января 1997 г. журнала “САПР и графика” внутри известного журнала “Компьютер Пресс”. В конце предыдущего года большинство ведущих фирм в области разработки САПР выпустили новые версии своих программных продуктов. В таблице приведены сведения об основных и некоторых сопутствующих им пакетах программ САПР в области электроники (см. также обзоры по САПР в PC Week/RE, 1996, № 3, 4, 20, 31, 41, 44).

 

Система DesignLab 7.1

 

После интенсивной рекламной компании корпорация MicroSim выпустила 22 октября 1996 г. систему проектирования нового поколения DesignLab 7.1. Рекламная кампания проводилась под лозунгом Start-to-Finish Design System (Система проектирования от начала до конца). Напомним основные вехи ее развития. В 1984 г. молодая фирма MicroSim создала программу моделирования аналоговых устройств PSpice, которая вскоре стала одной из самых популярных в мире. В 1989 г. была выпущена модернизированная программа моделирования смешанных аналого-цифровых устройств PSpice A/D. После разработки в 1991 г. графического редактора принципиальных схем программа PSpice и все сопутствующие модули были объединены в пакет с новым названием Design Center 5.0 (последняя версия Design Center 6.3 выпущена в марте 1996 г.)*. И вот новая смена названия. Чем это обусловлено?

 

После того как в конце 1995 г. был разработан графический редактор печатных плат PCBoards и обеспечен интерфейс с бессеточным автотрассировщиком SPECCTRA фирмы Chyan & Cooper Technologies создатели пакета Design Center заявили о возможности сквозного проектирования электронной аппаратуры: составление схемы, моделирование и разработка печатной платы  -  все в одном пакете. На платформе ПК это сделано впервые! Однако до сих пор Design Center обеспечивал синтез устройств программируемой логики только типа PLD фирм Altera, AMD, Atmel, Cypress, ICT, Lattice, Motorola, PLX, Philips, Texas Instruments, Toshiba и TriQuint. В DesignLab включен интерфейс со средствами проектирования перепрограммируемых логических устройств FPGA фирмы Xilinx (в качестве средства проектирования используется программа XACT Step 6.0). В результате создана система, позволяющая проектировать PLD и FPGA, моделировать их на ПК совместно с другими аналоговыми и цифровыми компонентами, проектировать печатные платы и затем повторять моделирование с учетом паразитных эффектов, присущих реальным конструкциям! Другие известные системы типа OrCAD или Workview Office позволяют моделировать только чисто цифровые устройства, содержащие PLD и FPGA.

 

Взаимодействие DesignLab с программой разработки FPGA фирмы Xilinx XACT Step 6.0

В состав DesignLab входят следующие программы:

 

MicroSim Schematics  -  графический ввод принципиальных или функциональных схем;

 

MicroSim PSpice A/D  -  моделирование аналогово-цифровых устройств;

 

MicroSim PLSyn  -  синтез программируемых логических матриц PLD;

 

MicroSim FPGA  -  интерфейс с программой синтеза FPGA фирмы Xilinx;

 

MicroSim PCBoards  -  графический редактор печатных плат;

 

SPECCTRA  -  автотрассировщик печатных плат на 6 слоев.

 

Входящие в эту систему программы нельзя заменить другими, но к ним можно добавить еще программы, выпускаемые корпорацией MicroSim. Общая стоимость DesignLab гораздо меньше стоимости входящих в ее состав программ. Учебным заведениям предоставляется скидка 90% (вузам выгоднее покупать трассировщик SPECCTRA в составе DesignLab, так как другие фирмы предоставляют на него меньшие скидки).

 

Дополнительно поставляются программы параметрической оптимизации MicroSim PSpice Optimizer, синтеза аналоговых фильтров MicroSim Filter Synthesys (пока только для DOS), анализа целостности сигналов MicroSim Polaris и вспомогательные модули к программе SPECCTRA (в том числе трассировщик SPECCTRA на 256 слоев).

 

По словам заместителя генерального директора фирмы “Родник Софт” Александра Поделько, участвовавшего в конференции дистрибьюторов MicroSim, проходившей в Барселоне в конце января, в следующие версии DesignLab планируется ввести существенные дополнения. Наиболее важными из них будут возможность применения языка VHDL для описания цифровых устройств и цифровых сигналов и синтеза FPGA всех основных фирм-производителей (помимо Xilinx добавятся Altera, Actel и др.). Кроме того, намечено разработать систему выпуска технической документации проекта, настраиваемую пользователем (тогда можно будет учесть все требования ЕСКД). Поэтому те, кто приобрел годичное обслуживание DesignLab, не пожалеют. Напомним, что годичное обслуживание дает право на бесплатное получение всех новых версий, выходящих в течение года.

 

Все это дает основания считать DesignLab динамично развивающейся системой, модернизация которой производится с учетом пожеланий пользователей. Посмотрим, будет ли выполнена наша просьба обеспечить совместимость по форматам баз данных с популярной системой проектирования печатных плат P-CAD.

 

ПРОГРАММЫ РАЗРАБОТКИ ПЕЧАТНЫХ ПЛАТ

 

ACCEL EDA 12.1. В ноябре 1996 г. выпущена вторая версия нового пакета программ разработки печатных плат фирмы ACCEL Technologies. Ее основные усовершенствования по сравнению с версией 12.0 (выпущенной 28 февраля 1996 г.): поддержка иерархических структур принципиальных схем, поддержка формата .ALT программы P-CAD при сравнении списка цепей. Кроме того, в интерфейс редактора печатных плат ACCEL PCB включена команда для вызова программы SPECTRA в режиме автоматического размещения компонентов. Версия 12.1  -  последняя для ОС Windows 3.1 и 3.11. Начиная со следующей версии 13.0, ACCEL EDA будет полностью переведена на 32-разрядную технологию и станет работать только под управлением Windows 95 и Windows NT. ACCEL EDA совместима по форматам баз данных с программой разработки печатных плат P-CAD 7.x и 8.x для DOS, имеются трансляторы для обмена баз данных с известными пакетами программ Viewlogic и Pro/Engineer. Наличие интерфейса DBX (Data Base Exchange) позволяет обрабатывать данные загруженных в ACCEL EDA схем и плат с помощью вспомогательных программ, написанных на Visual Basic, Си или Си++. В настоящее время ACCEL EDA 12.1 поставляется совместно с 18 утилитами, использующими интерфейс DBX. К их числу относятся, например, программы генерации корпусов компонентов со штыревыми и планарными выводами, расположенными по периферии прямоугольника, по окружности или образующими массив, а также программы изменения нумерации компонентов, расчета запаздывания сигналов, волновых сопротивлений отрезков проводников, других данных для оценки перекрестных искажений и т. п.

 

Если программа предыдущего поколения P-CAD была пригодна для автоматической трассировки в основном цифровых устройств, ACCEL EDA, в особенности совместно с программой SPECCTRA, с успехом используется и при разработке аналоговых устройств.

 

Отметим еще одно важное обстоятельство. В документации на систему указано, что разрешающая способность составляет 0,1 мил в английской системе и 0,01 мм в метрической, что явно недостаточно (хотелось бы ее повысить на один порядок). Однако при работе с графическими редакторами обнаружено, что на самом деле можно ввести данные с большей точностью, например установить шаг сетки 0,625 мм; при этом данные в строке состояний усекаются до двух знаков после запятой, но расчеты проводятся с заданной точностью!

 

ACCEL Technologies, по-видимому, является единственной из зарубежных фирм, которая установила на свои программы ACCEL EDA и P-CAD для стран СНГ и Балтии специальные цены, в 3 - 4 раза ниже мировых (на трассировщик SPECCTRA это не распространяется). Учебным заведениям ACCEL EDA, P-CAD и SPECCTRA поставляются со скидкой 50% (вот почему программу SPECCTRA учебным заведениям целесообразнее приобретать в составе DesignLab).

 

P-CAD 8.6. Система проектирования P-CAD на платформе DOS продолжает развиваться, в декабре 1996 г. выпущена новая версия 8.6. В ней исправлено более 25 недостатков предыдущей версии. Наиболее существенны следующие дополнения:

 

- при выводе чертежей с помощью утилиты WinPlot можно установить толщину символов текста (ранее они рисовались линиями так называемой нулевой толщины, равной разрешающей способности устройства);

 

- может быть удален любой из неиспользуемых слоев схемы или платы;

 

- добавлены ключевые слова атрибутов, понимаемых автотрассировщиком SPECCTRA (к ним относятся допустимые зазоры между объектами разного типа и типы переходных отверстий отдельных цепей);

 

- ускорен процесс перечерчивания электрических связей при перемещении компонентов большого размера;

 

- при выборе файлов из списков схем, плат и др. можно изменять имя каталога.

 

Обратим внимание, что фирма ACCEL Technologies как бы признала владельцев нелегальных версий P-CAD и разрешила дистрибьюторам продавать им P-CAD 8.6 по цене “просроченного обслуживания”, которая более чем в два раза меньше специальной цены, установленной на систему P-CAD для стран СНГ и Балтии.

 

В заключение обсудим проблему вывода из системы P-CAD чертежей на современные струйные и лазерные плоттеры. Обычно эта проблема возникает при работе со старой версией P-CAD 4.5, которая до сих пор эксплуатируется на большинстве отечественных предприятий (хотя осенью 1996 г. наметился переход на более современную версию 8.5, чему способствовал, по-видимому, выпуск нашей книги на эту тему). Первая рекомендация состоит в том, чтобы покупать плоттеры, которые поставляются вместе с драйверами системы P-CAD (сейчас иногда дешевле купить другой плоттер, чем заказать новый драйвер квалифицированному программисту). Если же драйвер найти не удается, то можно конвертировать базы данных из 16-разрядного формата P-CAD 4.5 в 32-разрядный формат последних версий P-CAD через текстовые PDIF-файлы и затем вывести данные на плоттер с помощью программы WinPlot (входит в состав поставки P-CAD, начиная с версии 8.0), работающей в среде Windows (а драйверы для Windows имеют абсолютно все периферийные устройства).

 

CADDy Электроника. Выпущена версия CADDy 12.0, полностью функционирующая в среде Windows, включая модуль CADDy Электроника. В этом модуле, предназначенном для разработки печатных плат, сделаны следующие нововведения: автоматическая очистка областей вокруг контактных площадок, накрываемых полигонами; выполнение штриховки полигонов и построение контактных площадок с тепловыми барьерами. Интерфейс с системой P-CAD планируется завершить в ближайшее время.

 

OrCAD 7.0. Старые версии OrCAD для DOS обладали, наверное, наилучшим редактором принципиальных схем среди аналогичных пакетов программ. Однако для разработки печатных плат и вывода документации на периферийные устройства использовали все же

 

P-CAD. При переходе в среду Windows в качестве основного автотрассировщика использовали программу MaxRoute фирмы Massteck, являющуюся в начале 90-х годов лучшим автотрассировщиком на платформе ПК (OrCAD приобрела эту фирму в 1995 г.). Но в настоящее время более эффективен бессеточный трассировщик SPECCTRA. Правда, в 1996 г. OrCAD приобрела лицензию на другой бессеточный трассировщик NeuroRoute. О пакете программ OrCAD трудно судить однозначно: с одной стороны, в его состав входит программа OrCAD Simulate, разработанная специально для моделирования цифровых устройств с программируемой логикой типа CPLD и FPGA, а с другой  -  в качестве программы моделирования аналоговых устройств используется программа PSpice фирмы MicroSim, а моделирование смешанных аналого-цифровых устройств не предусмотрено. Графический редактор схем OrCAD Capture для Windows не столь удобен, как редактор OrCAD STD для DOS. Приведем для иллюстрации краткий обзор достоинств и недостатков графического редактора печатных плат OrCAD Layout Plus 7.0.

 

К достоинствам OrCAD Layout Plus 7.0 можно отнести:

 

- возможность двусторонней трансляции баз данных в системы P-CAD, PADS, Protel, Tango и Cadstar;

 

- удобные алгоритмы трассировки проводников, включая трассировку в окне, интерактивную автотрассировку, раздвигание проводников при ручной трассировке;

 

- автоматическое и ручное размещение компонентов;

 

- возможность просмотреть всю плату “с птичьего полета” и одновременно одну из ее областей с любым увеличением;

 

- автоматическое размещение контрольных точек.

 

К ее недостаткам относятся:

 

- неудобный интерфейс;

 

- отсутствие интегрированных библиотек символов и корпусов компонентов;

 

- отсутствие открытой архитектуры обмена данными DBX;

 

- отсутствие механизма обратной коррекции схемы по изменениям печатной платы;

 

- невозможность разрезания слоев металлизации на несколько частей (что необходимо, например, при наличии нескольких источников питания);

 

- отсутствие автоматической простановки позиционных обозначений компонентов;

 

- неудобство создания стеков контактных площадок.

 

SPECCTRA 7.0. Программа SPECCTRA первоначально разработана как программа автотрассировки печатных плат, использующая новые адаптивные алгоритмы бессеточной трассировки. Позднее она была дополнена утилитой автоматического размещения компонентов. SPECCTRA не является самостоятельной программой. Необходимо иметь графический редактор печатных плат, например ACCEL PCB, P-CAD, MicroSim PCBoard, Allegro, и программы трансляции баз данных печатных плат из формата имеющегося графического редактора в SPECCTRA и обратно.

PRAC позволяет проанализировать механическую прочность и оценить надежность конструкции

 

Автотрассировщик F-router. Это отечественный трассировщик, работающий совместно с графическими редакторами программ P-CAD версий 4.5 и 6.0 (32-разрядные базы данных предварительно преобразуются в 16-разрядный формат P-CAD 4.5), OrCAD PCB386, Tango и Calay 4.0. По эффективности F-router превосходит P-CAD 4.5, но уступает ACCEL PRO Route и тем более SPECCTRA. Но гораздо более низкая стоимость и возможность модернизации с учетом пожеланий отечественных пользователей привлекают к нему внимание. В настоящее время F-router позволяет трассировать платы, состоящие из 2 - 8 слоев, проводниками одинаковой ширины с возможностью предварительной трассировки цепей питания и других цепей. Трассировка производится в заданной сетке. Выполняется автоматическая предразводка для предотвращения блокировок и затем многопроходная итеративная трассировка, эффективная как для регулярных, так и нерегулярных структур. Многопроходная оптимизация уменьшает количество переходных отверстий и общую длину проводников.

 

Вспомогательные программы

 

При разработке печатных плат необходимо принимать во внимание множество факторов: механическую прочность конструкции, тепловые режимы, надежность и др. Поэтому ряд фирм специализируется на выпуске соответствующего ПО, интегрируемого с САПР печатных плат. Назовем наиболее известные из них.

 

BETASoft  -  расчет тепловых процессов. Пакет программ BETASoft выполняет расчет тепловых режимов на уровнях электронных систем, печатных плат и отдельных компонентов. Исходная информация о топологии проекта передается из САПР AutoCAD, Cadence, CADSTAR, Cadnetix, Calay, Case-Teradyne, Computer Vision, EEDesigner III, Intergraph, Mentor, OrCAD, PADS, P-CAD, Protel, Scicards, Tango, Ultiboard, Valid Allegro, Visula и др. Информация о компонентах основных фирм хранится в собственной базе данных. Затем вводятся данные о материале печатной платы, условиях теплопередачи, наличии естественного или искусственного охлаждения и т. п. После этого рассчитывается температура отдельных компонентов, выводится на экран карта распределения температур и градиента температур.

 

PRAC  -  расчет надежности печатных плат. С помощью этой программы рассчитывается механическая прочность печатной платы, выполняется анализ частот механических резонансов, анализ тепловых процессов и оценка надежности.

 

BoardSim  -  анализ целостности сигналов и электромагнитной совместимости. Если программы типа Polaris и XTK выполняют моделирование электронных устройств с учетом паразитных эффектов печатных плат, используя полные функциональные модели компонентов, то программа BoardSim выполняет упрощенный анализ. Суть его сводится к тому, что печатные проводники рассматриваются как отрезки длинных линий, а электронные компоненты заменяются своими входными и выходными комплексными сопротивлениями. На вход схемы подается сигнал от генератора и рассчитываются формы напряжений в различных точках схемы, что позволяет оценить запаздывания сигналов и интерференционные искажения. Библиотеки новых компонентов передаются бесплатно по электронной почте или через Internet.

 

Mentor Graphics для ПК

 

Корпорация Mentor Graphics начала выполнять свою программу переноса части программного обеспечения САПР c рабочих станций на платформу ПК. В настоящее время разработан схемотехнический редактор Personal Architect и программа создания моделей цифровых устройств QuickHDL-VHDL. Затем планируется выпустить редактор печатных плат. Все эти программы предназначены для подготовки данных и выполнения вспомогательных проектных работ для основного комплекса программ Mentor Graphics на рабочих станциях, так как интерфейс с пакетами программ других фирм не предусмотрен. Невозможен также перевод на ПК средств проектирования интегральных схем. Что касается цены ПО для ПК, то она становится сопоставимой с ценой аналогичных программ для рабочих станций. Это раньше при переводе программ с рабочих станций на маломощные ПК и невольном ограничении их функциональных возможностей цена снижалась примерно на порядок. Сейчас эффективность рабочих станций и ПК последних поколений сравнивается, сближаются и цены ПО.

Тепловой режим печатной платы, рассчитанный с помощью BETASoft

 

Всеволод Разевиг

 

* Подробнее о Design Center можно прочитать в книге: Разевиг В. Д. Система схемотехнического моделирования и проектирования печатных плат Design Center (PSpice). М.: СК Пресс. 1996.

 

Со Всеволодом Разевигом можно связаться по адресу: razevig@pcweek.redline.ru.

 

Телефоны и адреса компаний:

 

Фирмы-разработчики

 

ACCEL Technologies  -  http://www.acceltech.com

 

Advanced CAM Technologies (ACT)  -  http://www.ecam.com

 

Actel  -  http://www.actel.com

 

ALDEC  http://www.aldec.com

 

Altera  http://www.altera.com

 

CCT (Cooper & Chyan Technology)  http://www.cctech.com

 

Copmpact Software  http://www.comsoft.com

 

Dynamic Soft Analysis  http://www.dacafe.com

 

Hewlett-Packard  http://www.hp.com

 

HyperLynx  http://www.hyperlynx.com

 

International Analytics  http://www.traveller.com/~prac/, http://www.ro.com/~prac/

 

Mentor Graphics  http://www.mentorg.com

 

MicroSim  http://www.microsim.com

 

OrCAD  http:/www.orcad.com

 

Quad Design  http://www.quaddesign.com

 

Router Solutions  http://www.rsi-inc.com

 

Viewlogic Systems  http://www.viewlogic.com

 

Xilinx  http://www.xilinx.com

 

ZIEGLER Informatics GmbH  http://www.caddy.de

 

“Мезон”  (383-41) 62-267, E-mail: pprog@bond.nsk.su, WWW: http://www.inp.nsk.su/~volkov/F-router/

 

Дистрибьюторы

 

“Гамма”  (095) 913-8120, (812) 532-4383

 

Dina Computer  (095) 534-7917, E-mail: root@dina.elvis.msk.su

 

“Поинт”  (095) 118-0500, E-mail: pointltd@glas.apc.org

 

“Радис”  (095) 273-8879, 536-9565

 

“Родник Софт”  (095) 113-7001, E-mail: pode@rodnik.msk.su

 

SCAN  (095) 232-2343, E-mail: kda@scan.ru

 

“Точка опоры”, ЭФО  (095) 915-6734, E-mail: gench@uc.ru

 

Версия для печати